dwt.h 715 B

1234567891011121314151617181920212223242526
  1. /*
  2. *********************************************************************************************************
  3. *
  4. * 模块名称 : 数据观察点与跟踪(DWT)模块
  5. * 文件名称 : bsp_dwt.h
  6. * 版 本 : V1.0
  7. * 说 明 : 头文件
  8. * 修改记录 :
  9. * 版本号 日期 作者 说明
  10. * V1.0 2015-08-18 Eric2013 正式发布
  11. *
  12. * Copyright (C), 2015-2020, 国轩高科
  13. *
  14. *********************************************************************************************************
  15. */
  16. #ifndef __BSP_DWT_H
  17. #define __BSP_DWT_H
  18. void DWT_Init(void);
  19. void bsp_DelayUS(uint32_t _ulDelayTime);
  20. void bsp_DelayMS(uint32_t _ulDelayTime);
  21. #endif
  22. /***************************** 安富莱电子 www.armfly.com (END OF FILE) *********************************/